Лекция №5 от описание на хардуера на структурно ниво. Свързване на



Pdf просмотр
страница2/4
Дата09.11.2022
Размер153.85 Kb.
#115520
ТипЛекция
1   2   3   4
Liektsiia 5 - tiekst
1.1.

Операторът за деклариране на компонент задава имената и типа на портовете на компонента. Декларирането на компонент дефинира интерфейса, чрез който този компонент би могъл да комуникира с останалите компоненти в проекта. В дефиницията участват брой на интерфейсните сигнали (портове), тяхната посока и тип. Тази декларация би могла да се оприличи на описание, което се изисква при разработката на печатни платки, на ниво компоненти.
Преди компонента да бъде въведен в проекта, трябва да бъде декларирана конструктивната единица.
Синтаксиса на оператора е следния: component
име_на_компонент port (списък_на_портовете); end component;
Конкретните връзки на избрания компонент с останалите компоненти в проекта се задават чрез етикети и изрази за присвояване. Те дават уникално име на компонента при всеки отделен случай на използване и определят как портовете му трябва да бъдат свързани към сигналите вътре в модула за непосредственост.
Свързването на компонент в структурното описание на цифровото устройство изглежда по следния начин:
етикет: име_на_компонент port map списък от съответствия на сигнали;
Етикетът е задължителен елемент от оператора за включване на компонент. Списъкът
от съответствия на сигнали представлява списък от двойки сигнали:
<входноизходен сигнал на компонента> => <сигнал от архитектурата на проектната единица>.
В списъка от съответствия трябва да бъде декларирано съответствието на всеки сигнал от декларацията на компонента със сигнала (вътрешен или входноизходен) от архитектурата на устройството, който го замества при включването на компонента в архитектурата.
Съответствието между тези сигнали и портовете на компонента се задава от местоположението им в списъка на съответствията и списъка на портовете. Ако даден порт трябва да остане несвързан, то на съответното място в списъка на съответствията се поставя думата open.
Обикновено в развойна система за проектиране описанието на всеки компонент се разполага в отделен VHDL файл. Системата създава сама дърво с файловете (модулите) на проекта, като в това дърво модулът, описващ общото устройство е на по-високо ниво в йерархията, а модулите, описващи компонентите са на по-ниско ниво и са подчинени
(принадлежат) на него.


Сподели с приятели:
1   2   3   4




©obuch.info 2024
отнасят до администрацията

    Начална страница